プログラムdeタマゴ

nodamushiの著作物は、文章、画像、プログラムにかかわらず全てUnlicenseです

Chisel

Chisel入門3~メリットデメリット~

入門三回目は今回はChisel3のメリットデメリットを紹介します。いつになったら入門するんだ? さて、私が感じるメリットは、IDEの支援が受けられる、接続表現が強力、抽象的な表現が可能である点。 デメリットは非同期リセットがない、IOポート名を変えられ…

Chisel入門2~開発環境の準備~

やーやーやー。 ハードウェアをScalaで書ける組み込み言語、Chisel3の入門インストール講座です。 というわけで、面倒でもまずは開発環境を準備するところから始めよう。 ごらんの番組は以下の内容でお送りいたします。 Chisel開発に必要な物 JDKのインスト…

Chisel入門1~今すぐVerilogを捨てるべき理由~

日本人だけが知らないScala製のChisel!とか、煽り文章とか考えてみたけど、タイトルだけでギブアップ。別にVerilogもVHDLも捨てなくて良いです。 今回はChiselというハードウェアを記述するScalaのライブラリ(埋め込み言語)をご紹介します。 なお、Scala…